Scilab Website | Contribute with GitLab | Mailing list archives | ATOMS toolboxes
Scilab Online Help
2023.1.0 - Français


VARIABLE_DELAY

Retard pur variable

Aperçu

Description

Le bloc Retard pur variable peut être utilisé pour simuler un délai variable entre une action et son effet. Le bloc est utilisé, par exemple, pour modéliser un système avec un tube où la vitesse de pompage du fluide dans le tube est variable.

Le bloc accepte deux entrées et une sortie : la première entrée est le signal u(t) qui passe à travers le bloc (entrée de donnée); la seconde entrée est le temps de retard variable τ(t).

A l'instant t, le bloc sort l'entrée retardée u(θ) où θ est le temps de simulation courant t moins le paramètre de retard τ.

L'équation du signal de sortie est :

e est

y(t) = y(θ) - u(t - τ(t))

Paramètres

  • Max delay

    Il définit la plus grande valeur admissible sur l'entrée de retard. La valeur ne peut pas être négative.

    Propriétés Type 'vec' de taille 1.

  • initial input

    La sortie générée par le bloc jusqu'à ce que le temps de simulation atteigne pour la première fois le temps donné sur l'entrée de retard. Si cette valeur n'est pas égale à y(τ), une approximation linéaire sera calculée entre la valeur initiale à t0 et la valeur à y(τ).

    Propriétés : Type 'vec' de taille 1.

  • Buffer size

    Le nombre de points que le bloc peut mémoriser.

    Propriétés : Type 'vec' de taille 1.

Propriétés par défaut

  • always active: oui

  • direct-feedthrough: non

  • zero-crossing: non

  • mode: non

  • regular inputs:

    - port 1 : size [1,1] / type 1

    - port 2 : size [1,1] / type 1

  • regular outputs:

    - port 1 : size [1,1] / type 1

  • number/sizes of activation inputs: 0

  • number/sizes of activation outputs: 0

  • continuous-time state:non

  • discrete-time state: non

  • object discrete-time state:non

  • name of computational function: variable_delay

Fonction d'interfaçage

  • SCI/modules/scicos_blocks/macros/Linear/VARIABLE_DELAY.sci

Fonction de calcul

  • SCI/modules/scicos_blocks/src/c/variable_delay.c (Type 4)

Exemple

Dans cet exemple, le temps de retard maximum dans le dialogue des Paramètres de Bloc est mis à 4. Le signal d'entrée est une onde sinusoïdale d'amplitude 1 et de fréquence 0,2 Hz.

Le bloc constant est initialisé à 2 pour introduire un délai de 2 secondes. Les formes d'ondes en entrée et en sortie du bloc VARIABLE_DELAY sont montrées ci-dessous :

Report an issue
<< TIME_DELAY Palette Systèmes à temps continu Demonstrations blocks palette >>

Copyright (c) 2022-2023 (Dassault Systèmes)
Copyright (c) 2017-2022 (ESI Group)
Copyright (c) 2011-2017 (Scilab Enterprises)
Copyright (c) 1989-2012 (INRIA)
Copyright (c) 1989-2007 (ENPC)
with contributors
Last updated:
Mon May 22 12:39:45 CEST 2023